數(shù)字電路課程設(shè)計(jì)報(bào)告_第1頁(yè)
已閱讀1頁(yè),還剩17頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p><b> ?。ㄕn題名稱)</b></p><p>  學(xué)院名稱: 電 信 學(xué) 院 </p><p>  專 業(yè): 電 子 </p><p>  班 級(jí): 測(cè) 控 2 班

2、 </p><p><b>  目 錄</b></p><p>  前言……………………………………………………………………(2)</p><p>  一: 交通燈的設(shè)計(jì)任務(wù)……………………………………(2)</p><p>  二:題目分析與整體構(gòu)思……………………………………(2)</p>

3、<p>  三: 硬件電路設(shè)計(jì)………………………………………………………(3)</p><p>  3.1 分頻器設(shè)計(jì)………………………………………………………(3)</p><p>  3.2 控制器設(shè)計(jì)…………………………………………………………(3)</p><p>  3.3 計(jì)數(shù)器設(shè)計(jì)………………………………………………………(4)<

4、;/p><p>  3.4 分位譯碼電路設(shè)計(jì)…………………………………………………(4)</p><p>  3.5 數(shù)碼管驅(qū)動(dòng)設(shè)計(jì)…………………………………………………(5)</p><p>  3.6 頂層文件設(shè)計(jì)…………………………………………………(5)</p><p>  四:程序設(shè)計(jì)……………………………………………………(6)&

5、lt;/p><p>  4.1 分頻器設(shè)計(jì)………………………………………………………(6)</p><p>  4.2 控制器設(shè)計(jì)…………………………………………………………(8)</p><p>  4.3 計(jì)數(shù)器設(shè)計(jì)………………………………………………………(9)</p><p>  4.4 分位譯碼電路設(shè)計(jì)…………………………………

6、………………(11)</p><p>  4.5 數(shù)碼管驅(qū)動(dòng)設(shè)計(jì)…………………………………………………(12)</p><p>  4.6 頂層文件設(shè)計(jì)…………………………………………………(16)</p><p>  五:設(shè)計(jì)創(chuàng)新…………………………………………………(17)</p><p>  六:心得體會(huì)………………………………………

7、……………(17)</p><p>  七:參考文獻(xiàn)……………………………………(18)</p><p><b>  前 言</b></p><p>  伴隨著社會(huì)的發(fā)展以及人類生活水平的提高, EDA技術(shù)在電子信息,通信,自動(dòng),控制及計(jì)算機(jī)應(yīng)用等領(lǐng)域的重要性日益突出。隨著技術(shù)市場(chǎng)與人才市場(chǎng)對(duì)DEA的不斷的增加,交通的問(wèn)題日益突出,

8、單單依靠人力來(lái)指揮交通已經(jīng)不可行了,所以,設(shè)計(jì)交通燈來(lái)完成這個(gè)需求就顯的越加迫切了.為了確保十字路口的行人和車輛順利、暢通地通過(guò),往往采用電子控制的交通信號(hào)來(lái)進(jìn)行指揮。以下就是運(yùn)用數(shù)字電子設(shè)計(jì)出的交通燈:其中紅燈亮,表示該條路禁止通行;黃燈亮表示停車;綠燈亮表示允許通行。</p><p>  以下就是我所設(shè)計(jì)的軟件部分:</p><p>  一: 交通燈的設(shè)計(jì)任務(wù)</p>&

9、lt;p>  設(shè)計(jì)一個(gè)十字路口的交通燈控制系統(tǒng),用LED發(fā)光二極管顯示車輛通過(guò)的方向(東西和南北各一組),用數(shù)碼管顯示該方向的剩余時(shí)間。要求:工作順序?yàn)闁|西方向綠燈亮55秒,同時(shí)南北方向紅燈55秒,黃燈5秒閃爍。東西方向黃燈5秒,同時(shí)南北方綠燈30秒。東西方向紅燈30秒,同時(shí)南北方向黃燈5秒。依次重復(fù)。有緊急事件時(shí)允許將兩方向一直開(kāi)紅燈,蜂鳴器響,車輛禁行。</p><p>  二:題目分析與整體構(gòu)思<

10、;/p><p>  (1)該交通燈控制器應(yīng)具備的功能</p><p>  設(shè)東西方向比南北方向的車流量大,因此紅、黃、綠燈的時(shí)長(zhǎng)不相同,定為東西紅燈30sec,黃燈5sec,綠燈55sec,南北方向紅燈55 sec,黃燈5sec,綠燈30sec同時(shí)用數(shù)碼管指示當(dāng)前東西方向狀態(tài)(紅、綠)剩余時(shí)間。另外,設(shè)計(jì)一個(gè)緊急狀態(tài),當(dāng)緊急狀態(tài)出現(xiàn)時(shí),兩個(gè)方向都禁止通行,指示紅燈。緊急狀態(tài)解除后,重新計(jì)數(shù)并指

11、示時(shí)間。</p><p><b>  (2) 實(shí)現(xiàn)方案</b></p><p>  一 從題目中計(jì)數(shù)值與交通燈的亮滅的關(guān)系如圖(1)所示</p><p><b>  三: 硬件電路設(shè)計(jì)</b></p><p><b> ?。?)分頻器</b></p><p&

12、gt;  分頻器實(shí)現(xiàn)的是將高頻時(shí)鐘信號(hào)轉(zhuǎn)換成底頻的時(shí)鐘信號(hào),用于觸發(fā)控制器、計(jì)數(shù)器和掃描顯示電路。該分頻器實(shí)現(xiàn)的是1000分頻,將50M赫茲的時(shí)鐘信號(hào)分頻成50000赫茲的時(shí)鐘信號(hào)。</p><p>  該分頻器實(shí)現(xiàn)的是50000分頻,將50000赫茲的時(shí)鐘信號(hào)分頻成1赫茲的時(shí)鐘信號(hào)。</p><p><b> ?。?)控制器設(shè)計(jì)</b></p><

13、;p>  控制器的作用是根據(jù)計(jì)數(shù)器的計(jì)數(shù)值控制發(fā)光二極管的亮、滅,以及輸出倒計(jì)時(shí)數(shù)值給七段數(shù)碼管的分位譯碼電路。此外,當(dāng)檢測(cè)到特殊情況(HOLD=‘1’)發(fā)生時(shí),無(wú)條件點(diǎn)亮紅燈的二極管。本控制器可以有兩種設(shè)計(jì)方法,一種是利用時(shí)鐘煙的下降沿讀取前級(jí)計(jì)數(shù)器的計(jì)數(shù)值,然后作出反應(yīng);另一種則是將本模塊設(shè)計(jì)成純組合邏輯電路,不需要時(shí)鐘驅(qū)動(dòng)。這兩種方法各有所長(zhǎng),必須根據(jù)所用器件的特性進(jìn)行選擇:比如有些FPGA有豐富的寄存器資源,而且可用與組合

14、邏輯的資源則相對(duì)較少,那么使用第一種方法會(huì)比較節(jié)省資源;而有些CPLD的組合邏輯資源則比較多,用第二種方法可能更好。</p><p><b> ?。?)計(jì)數(shù)器設(shè)計(jì)</b></p><p>  這里需要的計(jì)數(shù)器的計(jì)數(shù)范圍為0-90。計(jì)到90后,下一個(gè)時(shí)鐘沿回復(fù)到0,開(kāi)始下一輪計(jì)數(shù)。此外,當(dāng)檢測(cè)到特殊情況(HOLD=‘1’)發(fā)生是,計(jì)數(shù)器暫停計(jì)數(shù)。</p>

15、<p>  (4)分位譯碼電路設(shè)計(jì)</p><p>  因?yàn)榭刂破鬏敵龅牡接?jì)時(shí)數(shù)值可能是1位或者2位十進(jìn)制數(shù),所以在七段數(shù)碼管的譯碼電路前要加上分位電路(即將其分為2個(gè)1位的十進(jìn)制數(shù),如25分為2和5,7分為0和7)。 </p><p>  與控制器一樣,分位電路同樣可以由時(shí)鐘驅(qū)動(dòng),也可以設(shè)計(jì)成純組合邏輯電路??刂破髦?,引入了寄存器。本次分位電路就用組合邏輯電路實(shí)現(xiàn)。</p

16、><p> ?。?)數(shù)碼管驅(qū)動(dòng)設(shè)計(jì)</p><p>  串行連接,即每個(gè)數(shù)碼管對(duì)應(yīng)的引腳都接在一起(如每個(gè)數(shù)碼管的a引腳都接到一起,然后再接到CPLD/FPGA上的一個(gè)引腳上),通過(guò)控制公共端為高電平控制相應(yīng)數(shù)碼管的亮、滅(共陰極數(shù)碼管的公共端為高電平時(shí),LED不亮;共陽(yáng)極的公共端為低電平時(shí),LED不亮)。</p><p>  串行法的優(yōu)點(diǎn)在于消耗的系統(tǒng)資源少,占用的I

17、/O口少,N個(gè)數(shù)碼管只需要(7+N)個(gè)引腳(如果需要小數(shù)點(diǎn),則是(8+N)個(gè)引腳)。其缺點(diǎn)是控制起來(lái)不如并行法容易。</p><p> ?。?)下圖為交通燈控制器的頂層文件連接圖</p><p><b>  四:程序設(shè)計(jì)</b></p><p>  1. 分頻模塊的設(shè)計(jì)及仿真圖</p><p>  LIBRARY IEE

18、E;</p><p>  USE IEEE.Std_Logic_1164.ALL;</p><p>  ENTITY FreDevider IS</p><p><b>  PORT</b></p><p>  (Clkin:IN Std_Logic;</p><p>  Clkout:OUT S

19、td_Logic);</p><p><b>  END;</b></p><p>  ARCHITECTURE Devider OF FreDevider IS</p><p>  CONSTANT N:Integer:=499;</p><p>  Signal counter:Integer range 0 to

20、N;</p><p>  signal Clk:Std_Logic;</p><p><b>  BEGIN </b></p><p>  PROCESS(Clkin)</p><p><b>  begin </b></p><p>  IF rising_edge(Clk

21、in)THEN</p><p>  IF Counter=N then </p><p>  counter<=0;</p><p>  Clk<=not clk;</p><p><b>  else</b></p><p>  counter<=counter+1;</

22、p><p><b>  end if;</b></p><p><b>  end if;</b></p><p>  end process;</p><p>  clkout<=clk;</p><p><b>  end;</b></p&g

23、t;<p>  LIBRARY IEEE;</p><p>  USE IEEE.Std_Logic_1164.ALL;</p><p>  ENTITY FreDevider1 IS</p><p><b>  PORT</b></p><p>  (Clkin:IN Std_Logic;</p&g

24、t;<p>  Clkout:OUT Std_Logic);</p><p><b>  END;</b></p><p>  ARCHITECTURE Devider1 OF FreDevider1 IS</p><p>  CONSTANT N:Integer:=24999;</p><p>  sig

25、nal counter:Integer range 0 to N;</p><p>  signal Clk:Std_Logic;</p><p><b>  BEGIN </b></p><p>  PROCESS(Clkin)</p><p><b>  begin </b></p>

26、<p>  IF rising_edge(Clkin)THEN</p><p>  IF Counter=N then </p><p>  counter<=0;</p><p>  Clk<=not clk;</p><p><b>  else</b></p><p&g

27、t;  counter<=counter+1;</p><p><b>  end if;</b></p><p><b>  end if;</b></p><p>  end process;</p><p>  clkout<=clk;</p><p>&l

28、t;b>  end;</b></p><p><b> ?。?)控制設(shè)計(jì)</b></p><p>  控制器的作用是根據(jù)計(jì)數(shù)器的計(jì)數(shù)值控制發(fā)光二極管的亮、滅,以及輸出倒計(jì)時(shí)數(shù)值給七段譯管的分譯碼電路。此外,當(dāng)檢測(cè)到特殊情況(Hold=‘1’)發(fā)生時(shí),無(wú)條件點(diǎn)亮紅色的發(fā)光二極管</p><p>  LIBRARY IEEE; &

29、lt;/p><p>  USE IEEE.STD_LOGIC_1164.ALL; </p><p>  ENTITY countroller IS </p><p>  PORT (Clock:IN STD_LOGIC; </p><p>  Hold:in std_logic; </p><p>  CountNum:i

30、n INTEGER RANGE 0 TO 89;</p><p>  NumA:out INTEGER RANGE 0 TO 90;</p><p>  RedA,GreenA,YellowA:out std_logic; </p><p>  RedB,GreenB,YellowB:out std_logic); </p><p><

31、b>  END;</b></p><p>  ARCHITECTURE behavior OF Countroller IS </p><p><b>  BEGIN</b></p><p>  process(Clock)</p><p><b>  BEGIN</b></

32、p><p>  IF falling_edge(Clock)THEN</p><p>  IF Hold='1' THEN</p><p>  RedA<='1';</p><p>  RedB<='1';</p><p>  GreenA<='0&

33、#39;;</p><p>  GreenA<='0';</p><p>  YellowA<='0';</p><p>  YellowB<='0';</p><p>  ELSIF CountNum<=54 THEN</p><p>  Num

34、A<=55-CountNum;</p><p>  RedA<='0';</p><p>  GreenA<='1';</p><p>  YellowA<='0';</p><p>  ELSIF CountNum<=59 THEN</p><

35、p><b>  NumA<=60;</b></p><p>  RedA<='0';</p><p>  GreenA<='0';</p><p>  YellowA<='1';</p><p><b>  ELSE </b

36、></p><p>  NumA<=90-CountNum;</p><p>  RedA<='1';</p><p>  GreenA<='0';</p><p>  YellowA<='0';</p><p><b>  END

37、 IF;</b></p><p>  IF CountNum<=54 THEN</p><p>  RedB<='1';</p><p>  GreenB<='0';</p><p>  YellowB<='0';</p><p>  

38、ELSIF CountNum<=84 THEN</p><p>  RedB<='0';</p><p>  GreenB<='1';</p><p>  YellowB<='0';</p><p><b>  ELSe</b></p>

39、<p>  RedB<='0';</p><p>  GreenB<='0';</p><p>  YellowB<='1';</p><p><b>  END IF;</b></p><p><b>  end if;</b

40、></p><p>  END PROCESS;</p><p><b>  END;</b></p><p><b> ?。?)計(jì)數(shù)器的設(shè)計(jì)</b></p><p>  這里計(jì)數(shù)器的計(jì)數(shù)范圍為0—90S 。計(jì)到45后,下一個(gè)時(shí)鐘沿回復(fù)到0,開(kāi)始下一輪計(jì)數(shù).此外,當(dāng)檢測(cè)到特殊情況(Hold=‘

41、1‘)發(fā)生時(shí),計(jì)數(shù)器暫停計(jì)數(shù)。</p><p><b>  程序如下:</b></p><p>  LIBRARY IEEE; </p><p>  USE IEEE.STD_LOGIC_1164.ALL; </p><p>  ENTITY counter IS </p><p>  PORT

42、(clock:IN STD_LOGIC; </p><p>  Hold:in std_logic;</p><p>  countNum:BuFFeR INTEGER RANGE 0 TO 90);</p><p><b>  END;</b></p><p>  ARCHITECTURE behavior OF co

43、unter IS </p><p><b>  BEGIN</b></p><p>  Process(Clock)</p><p><b>  BEGIN</b></p><p>  IF rising_edge(Clock) THEN</p><p>  IF Hold=

44、'1' then</p><p>  countNum<=countNum;</p><p><b>  ELSE</b></p><p>  IF countNum=90 THEN</p><p>  countNum<=0;</p><p><b>  E

45、LSE</b></p><p>  countNum<=countNum+1;</p><p><b>  END IF;</b></p><p><b>  END IF;</b></p><p>  END PROCESS;</p><p><b&

46、gt;  END;</b></p><p>  波形分析:由圖可知在脈沖作用下,東西方向的紅綠黃燈,南北方向的紅綠黃燈,皆按照程序中要求的實(shí)現(xiàn)。</p><p> ?。?)分位譯碼電路設(shè)計(jì)</p><p>  LIBRARY IEEE; </p><p>  USE IEEE.STD_LOGIC_1164.ALL; </p&

47、gt;<p>  ENTITY Fenwei IS</p><p><b>  PORT</b></p><p>  (Numin:IN integer RANGE 0 TO 90;</p><p>  NumA,NumB:OUT Integer RANGE 0 to 9</p><p><b>

48、  );</b></p><p><b>  END;</b></p><p>  ARCHITECTURE behavior OF Fenwei IS </p><p><b>  BEGIN</b></p><p>  process(Numin)</p><p&

49、gt;<b>  BEGIN</b></p><p>  IF Numin>=60 THEN</p><p><b>  NumA<=10;</b></p><p><b>  NumB<=10;</b></p><p>  elsIF Numin>=5

50、0 THEN</p><p><b>  NumA<=5;</b></p><p>  NumB<=Numin-50;</p><p>  elsIF Numin>=40 THEN</p><p><b>  NumA<=4;</b></p><p>

51、  NumB<=Numin-40;</p><p>  ELSIF Numin>=30 THEN</p><p><b>  NumA<=3;</b></p><p>  NumB<=Numin-30;</p><p>  ELSIF Numin>=20 THEN</p>&l

52、t;p><b>  NumA<=2;</b></p><p>  NumB<=Numin-20;</p><p>  ELSIF Numin>=10 THEN</p><p><b>  NumA<=1;</b></p><p>  NumB<=Numin-10;

53、</p><p><b>  ELSE </b></p><p><b>  NumA<=0;</b></p><p>  NumB<=Numin;</p><p><b>  END IF;</b></p><p>  END PROCES

54、S;</p><p><b>  END;</b></p><p> ?。?)數(shù)碼管驅(qū)動(dòng)設(shè)計(jì)</p><p>  LIBRARY IEEE; </p><p>  USE IEEE.STD_LOGIC_1164.ALL; </p><p>  ENTITY bcd_data IS</p>

55、;<p><b>  PORT</b></p><p>  (bcd_data:in STD_LOGIC_VECTOR(3 downto 0);</p><p>  segout: out STD_LOGIC_VECTOR(6 downto 0)</p><p><b>  );</b></p>

56、<p><b>  END;</b></p><p>  ARCHITECTURE behavior OF bcd_data IS </p><p><b>  BEGIN</b></p><p>  process(bcd_data)</p><p><b>  BEGIN&

57、lt;/b></p><p>  case bcd_data is</p><p>  when "0000"=>segout<="1111110";</p><p>  when "0001"=>segout<="0110000"; </p>

58、<p>  when "0010"=>segout<="1101101"; </p><p>  when "0011" =>segout<="1111001" ;</p><p>  when "0100" =>segout<=&quo

59、t;0110011" ;</p><p>  when "0101"=>segout<="1011011" ;</p><p>  when "0110"=>segout<="0011111" ;</p><p>  when "0111

60、"=>segout<="1110000" ;</p><p>  when "1000" =>segout<="1111111" ;</p><p>  when "1001" =>segout<="1110011";</p>&

61、lt;p>  when "1010"=>segout<="0000000";</p><p>  when others =>null;</p><p><b>  END CASE;</b></p><p>  END PROCESS;</p><p>&

62、lt;b>  END;</b></p><p>  LIBRARY IEEE; </p><p>  USE IEEE.STD_LOGIC_1164.ALL;</p><p>  USE IEEE.STD_LOGIC_unsigned.ALL; </p><p>  ENTITY dtsm IS</p><

63、;p>  PORT(clk:in STD_LOGIC;</p><p>  NumA,NumB,NumC,NumD: in STD_LOGIC_VECTOR(3 downto 0);</p><p>  segout1:out STD_LOGIC_VECTOR(6 downto 0);</p><p>  led_sel: out STD_LOGIC_VECT

64、OR(3 downto 0));</p><p><b>  END dtsm;</b></p><p>  architecture bhv of dtsm is</p><p>  component bcd_data is </p><p>  port (bcd_data:in STD_LOGIC_VECTOR(

65、3 downto 0);</p><p>  segout:out STD_LOGIC_VECTOR(6 downto 0));</p><p>  end component;</p><p>  signal x:STD_LOGIC_VECTOR(3 downto 0);</p><p>  signal q:STD_LOGIC_VECT

66、OR(1 downto 0);</p><p><b>  begin</b></p><p>  p1:process(clk)</p><p><b>  begin</b></p><p>  if clk'event and clk ='1' then</p&g

67、t;<p>  Q<= Q + '1';</p><p><b>  end if;</b></p><p>  end process;</p><p>  p2:process(Q)</p><p><b>  begin</b></p><

68、;p><b>  case Q is</b></p><p>  when"00"=>led_sel<="1110";x<=NumD;</p><p>  when"01"=>led_sel<="1101";x<=NumC;</p>

69、<p>  when"10"=>led_sel<="1011";x<=NumB;</p><p>  when"11"=>led_sel<="0111";x<=NumA;</p><p>  when others=>null;</p><

70、p><b>  end case;</b></p><p>  end process;</p><p>  u1:bcd_data PORT map(bcd_data=>x,segout=>segout1);</p><p><b>  end;</b></p><p>  波形

71、分析:由上圖可看出倒計(jì)時(shí)函數(shù)是正確的。由于采用的是動(dòng)態(tài)顯示可能仿真的波形看的不是很明顯!</p><p><b>  (6)頂層文件設(shè)置</b></p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  entity jiaotong

72、deng is</p><p>  port(clk1:in std_logic;</p><p>  reset1:in std_logic;</p><p>  hold1:in std_logic;</p><p>  segout2:out std_logic_vector(6 downto 0);</p><p&

73、gt;  led_sel1:out std_logic_vector(3 downto 0);</p><p>  reda1,yellowa1,greena1:out std_logic;</p><p>  redb1,yellowb1,greenb1:out std_logic);</p><p>  end jiaotongdeng;</p>

74、<p>  architecture aa11 of jiaotongdeng is</p><p>  component FreDevider</p><p><b>  PORT</b></p><p>  (Clkin:IN Std_Logic;</p><p>  Clkout:OUT Std_Log

75、ic);</p><p>  END component;</p><p>  component FreDevider1</p><p><b>  PORT</b></p><p>  (Clkin:IN Std_Logic;</p><p>  Clkout:OUT Std_Logic);&

76、lt;/p><p>  END component;</p><p>  component countroller</p><p>  PORT (Clock:IN STD_LOGIC; </p><p>  Hold:in std_logic; </p><p>  CountNum:in INTEGER RANGE

77、0 TO 89;</p><p>  NumA:out INTEGER RANGE 0 TO 90;</p><p>  RedA,GreenA,YellowA:out std_logic; </p><p>  RedB,GreenB,YellowB:out std_logic); </p><p>  END component;<

78、/p><p>  component counter </p><p>  PORT (clock:IN STD_LOGIC; </p><p>  reset:in std_logic; </p><p>  Hold:in std_logic;</p><p>  countNum:BuFFeR INTEGER RAN

79、GE 0 TO 90);</p><p>  END component;</p><p>  component Fenwei </p><p><b>  PORT</b></p><p>  (Numin:IN integer RANGE 0 TO 90;</p><p>  NumA,Nu

80、mB:OUT Integer RANGE 0 to 9</p><p><b>  );</b></p><p>  END component;</p><p>  component dtsm </p><p>  PORT(clk:in STD_LOGIC;</p><p>  NumA,N

81、umB: in Integer RANGE 0 to 9;</p><p>  segout1:out STD_LOGIC_VECTOR(6 downto 0);</p><p>  led_sel: out STD_LOGIC_VECTOR(3 downto 0));</p><p>  END component;</p><p>  s

82、ignal a,b:std_logic;</p><p>  signal c:INTEGER RANGE 0 TO 89;</p><p>  signal d:INTEGER RANGE 0 TO 90;</p><p>  signal e,f:Integer RANGE 0 to 9;</p><p><b>  begin

83、</b></p><p>  u1: FreDevider port map(clkin=>clk1,clkout=>a);</p><p>  u2: FreDevider1 port map(clkin=>a,clkout=>b);</p><p>  u3:counter port map(clock=>b,r

84、eset=>reset1,hold=>hold1,countnum=>c);</p><p>  u4:countroller port map(clock=>b,hold=>hold1,countnum=>c,numa=>d,reda=>reda1,greena=>greena1,yellowa=>yellowa1,redb=>redb1,gre

85、enb=>greenb1,yellowb=>yellowb1);</p><p>  u5:fenwei port map(numin=>d,numa=>e,numb=>f);</p><p>  u6:dtsm port map(clk=>clk1,numa=>e,numb=>f,segout1=>segout2,

86、led_sel=>led_sel1);</p><p><b>  end aa11;</b></p><p><b>  五:設(shè)計(jì)創(chuàng)新</b></p><p>  1﹑ 模塊化編程,模塊化接線,再編譯總原理圖,思路比較清楚解容易。</p><p>  2﹑ 可以比較容易的改變紅綠燈的時(shí)間。&

87、lt;/p><p>  3﹑有的模塊可以供其它任務(wù)通用。</p><p><b>  六:心得體會(huì)</b></p><p>  通過(guò)這次課程設(shè)計(jì),加強(qiáng)了我們動(dòng)手﹑思考和解決問(wèn)題的能力。剛開(kāi)始在網(wǎng)上找了篇?jiǎng)e人的設(shè)計(jì),感覺(jué)蠻好的就不想自己動(dòng)手編了。圖書館也有好多這方面的書籍,所以連修改都不想自己動(dòng)手了。因?yàn)閯e人的程序沒(méi)有頂層文件,就到圖書館找書。好多時(shí)

88、間就浪費(fèi)在這上面了。后來(lái)老師提醒說(shuō):只要是自己編的,哪怕再簡(jiǎn)單都是優(yōu)秀。課程設(shè)計(jì)最重要的是自己動(dòng)手體驗(yàn),否則就沒(méi)有意義了。后來(lái)自己也覺(jué)得全抄別人的沒(méi)意思。就把EDA教材關(guān)于模塊化設(shè)計(jì)的又看了一遍。發(fā)現(xiàn)豁然開(kāi)朗,其實(shí)模塊化設(shè)計(jì)很簡(jiǎn)單。而其感覺(jué)印象特深,真正的把它學(xué)會(huì)了。</p><p>  通過(guò)這次課程設(shè)計(jì),我也覺(jué)得自己不是很喜歡焊板子。以后學(xué)習(xí)中就側(cè)重于程序方面的知識(shí)。</p><p> 

89、 還有一個(gè)遺憾就是覺(jué)得關(guān)于狀態(tài)機(jī)方面的知識(shí)還沒(méi)有掌握。有空會(huì)補(bǔ)上的!</p><p>  最后這次課程設(shè)計(jì)終于順利完成了,在設(shè)計(jì)中遇到了很多問(wèn)題,多虧了老師的指導(dǎo),才能解決那么多的問(wèn)題。在此對(duì)老師說(shuō)一聲:“謝謝”。﹗</p><p><b>  七﹑參考文獻(xiàn)</b></p><p> ?。?)潘松,黃繼業(yè).2006.EDA技術(shù)使用教程.北京:科

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論