版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡介
1、<p><b> 1 引言</b></p><p><b> 1.1 研究背景</b></p><p> 自1897年意大利科學(xué)家G.Marconi首次使用無線電波進(jìn)行信息傳輸并獲得成功后,在一個(gè)多世紀(jì)的時(shí)間中,在飛速發(fā)展的計(jì)算機(jī)和半導(dǎo)體技術(shù)的推動下,無線通信的理論和技術(shù)不斷取得進(jìn)步,今天,無線移動通信已經(jīng)發(fā)展到大規(guī)模商用并逐
2、漸成為人們?nèi)粘I畈豢扇鄙俚闹匾ㄐ欧绞街弧?lt;/p><p> 隨著數(shù)字技術(shù)的飛速發(fā)展與應(yīng)用數(shù)字信號處理在通信系統(tǒng)中的應(yīng)用越來越重要。數(shù)字信號傳輸系統(tǒng)分為基帶傳輸系統(tǒng)和頻帶傳輸系統(tǒng)。頻帶傳輸系統(tǒng)也叫數(shù)字調(diào)制系統(tǒng),該系統(tǒng)對基帶信號進(jìn)行調(diào)制,使其頻譜搬移到適合信道傳輸?shù)念l帶上數(shù)字調(diào)制信號有稱為鍵控信號。在調(diào)制的過程中可用鍵控[1]的方法由基帶信號對載頻信號的振幅,頻率及相位進(jìn)行調(diào)制最基本的方法有三種:正交幅度調(diào)制
3、(QAM)、頻移鍵控(FSK)和相移鍵控(PSK)。</p><p> 作為數(shù)字通信技術(shù)中重要組成部分的調(diào)制解調(diào)技術(shù)一直是通信領(lǐng)域的熱點(diǎn)課題。隨著當(dāng)代通信的飛速發(fā)展,通信體制的變化也日新月異,新的數(shù)字調(diào)制方式不斷涌現(xiàn)并且得到實(shí)際應(yīng)用[2]。目前的模擬調(diào)制方式有很多種,主要有AM、FM、SSB、DSB、CW等,而數(shù)字調(diào)制方式的種類更加繁多,如ASK、FSK、MSK、GMSK、PSK、DPSK、 QPSK、QAM等
4、。如果產(chǎn)生每一種信號需要一個(gè)硬件電路甚至一個(gè)模塊,那么能產(chǎn)生幾種、十幾種通信信號的通信機(jī)的電路將相當(dāng)復(fù)雜,體積重量將會很大,而且要增加新的調(diào)制方式也是十分困難的。在眾多調(diào)制方式中,四相相移鍵控(Quadrature Phase Shift Keying,QPSK)信號由于抗干擾能力強(qiáng)而得到了廣泛的應(yīng)用[3], [4],具有較高的頻譜利用率和較好的誤碼性能,并且實(shí)現(xiàn)復(fù)雜度小,解調(diào)理論成熟,廣泛應(yīng)用于數(shù)字微波、衛(wèi)星數(shù)字通信系統(tǒng)、有線電視的上
5、行傳輸、寬帶接入與移動通信等領(lǐng)域中[5],并已成為新一代無線接入網(wǎng)物理層和B3G通信中使用的基本調(diào)制方式[6]?,F(xiàn)場可編程門陣列(Field Programmable Gate Array,F(xiàn)PGA)是20世紀(jì)9年代發(fā)</p><p> 數(shù)字調(diào)制信號又稱為鍵控信號。調(diào)制過程可用鍵控的方法由基帶信號對載頻信號的振幅、頻率及相位進(jìn)行調(diào)制。最基本的方法有3種:正交幅度調(diào)制(QAM)、頻移鍵控(FSK)、相移鍵控(PS
6、K).根據(jù)所處理的基帶信號的進(jìn)制不同分為二進(jìn)制和多進(jìn)制調(diào)制(M進(jìn)制)。多進(jìn)制數(shù)字調(diào)制與二進(jìn)制相比,其頻譜利用率更高。其中QPSK(即4PSK)是MPSK(多進(jìn)制相移鍵控)中應(yīng)用最廣泛的一種調(diào)制方式。本課題主要研究了基于FPGA的QPSK調(diào)制解調(diào)電路的實(shí)現(xiàn)方法,并給出了MAX+PLUSII環(huán)境下的仿真結(jié)果。</p><p> 1. 2 國內(nèi)外研究狀況及趨勢</p><p> 1.2.1
7、數(shù)字調(diào)制解調(diào)技術(shù)的發(fā)展現(xiàn)狀</p><p> 數(shù)字信號調(diào)制是用基帶數(shù)字信號控制高頻載波,把基帶數(shù)字信號變換為頻帶數(shù)字信號的過程,數(shù)字信號的調(diào)制設(shè)備包括數(shù)字信號處理(編碼)單元和調(diào)制單元。</p><p> 圖1.1 數(shù)字通信調(diào)制系統(tǒng)框圖</p><p> 首先將模擬信號數(shù)字化,然而數(shù)字信號序列進(jìn)行編碼碼流是不能或不適合直</p><p&g
8、t; 接通過傳輸信道進(jìn)行傳輸?shù)?,必須?jīng)過某種處理,使之變成適合在規(guī)定信道中傳</p><p> 輸?shù)男问?。在通信原理上,這種處理稱為信道編碼,一般包括擾碼,R-S編碼,卷</p><p> 積交織,卷積編碼這幾部分;有關(guān)調(diào)制單元的調(diào)制類型的分類:</p><p> ?。?)按數(shù)據(jù)類型數(shù)字調(diào)制可分為二進(jìn)制調(diào)制和多進(jìn)制調(diào)制兩種。 </p><p
9、> (2)按已調(diào)信號的結(jié)構(gòu)形式可分為線性調(diào)制和非線性調(diào)制兩種。</p><p> (3)按數(shù)字調(diào)制方式分為調(diào)幅、調(diào)頻和調(diào)相三種基本形式。</p><p> 數(shù)字通信解調(diào)設(shè)備的構(gòu)成如圖1.2所示,主要包括解調(diào)單元、信碼再生單元和譯碼單元。其中,載波同步和定時(shí)同步是解調(diào)器的2個(gè)核心單元,它們直接決定著解調(diào)器的誤碼性能。</p><p> 圖1.2 數(shù)字通
10、信解調(diào)系統(tǒng)框圖</p><p> 在傳統(tǒng)的數(shù)字通信系統(tǒng)中,接收機(jī)的解調(diào)單元都是用模擬處理方法和器件實(shí)現(xiàn)的。其中,共同之處在于使用了模擬濾波器、鑒相器(乘法器)和壓控振蕩器(VCO)。這種傳統(tǒng)的模擬解調(diào)單元電路體積大、形式復(fù)雜;調(diào)試周期長而且受人為因素影響大;器件內(nèi)部噪聲大,易受環(huán)境影響,可靠性差;因此,這種傳統(tǒng)的接收機(jī)不能完全發(fā)揮數(shù)字通信的優(yōu)勢,不能實(shí)現(xiàn)數(shù)字信號處理的最佳接收。解調(diào)單元的載波同步和定時(shí)同步將完全
11、在數(shù)字部分完成,而模數(shù)轉(zhuǎn)換器的位置決定了接收機(jī)的數(shù)字化程度。在全數(shù)字解調(diào)中,幾乎所有的模擬解調(diào)單元和件都可以對應(yīng)地找到它的數(shù)字化形式,如數(shù)字濾波器(FIR或IIR)、全數(shù)字乘法器和數(shù)控振蕩器[9], [10](NCO)等。但全數(shù)字解調(diào)并不是簡單的將模擬解調(diào)中的器件全部數(shù)字化,它具有以下的特點(diǎn):</p><p> 1)電路結(jié)構(gòu)簡單,易于調(diào)試;</p><p> 2)可以使用復(fù)雜的算法,從
12、而實(shí)現(xiàn)最佳的接收;</p><p> 3)便于計(jì)算機(jī)輔助設(shè)計(jì),實(shí)現(xiàn)電子設(shè)計(jì)自動化(EDA);</p><p> 4)易于集成和大規(guī)模生產(chǎn),價(jià)格低廉。</p><p> QPSK是目前應(yīng)用非常廣泛的調(diào)制解調(diào)技術(shù),目前QPSK調(diào)制的實(shí)現(xiàn)主要是利用數(shù)字電路和專用芯片來完成,通常利用可編程數(shù)字電路對基帶信號進(jìn)行碼元變換,成形濾波等處理后得到同相分量和正交分量,然后將兩
13、路信號分量經(jīng)過數(shù)模轉(zhuǎn)換獲得模擬信號送入一個(gè)正交相乘器與中頻載波調(diào)制得到中頻QPSK調(diào)制信號。該方法適合高碼率數(shù)字信號的傳輸,但系統(tǒng)的開放性和靈活性較差。</p><p> 1.2.2 FPGA的發(fā)展概況</p><p> FPGA/CPLD、DSP和CPU被稱為未來數(shù)字電路系統(tǒng)的3塊基石,也是目前硬件設(shè)計(jì)研究的熱點(diǎn)[11]。過去的數(shù)字信號處理實(shí)現(xiàn)中,大多采用ASIC和DSP,但這類器
14、件都有一定的缺陷。ASIC處理速度快,但開發(fā)成本高,而且內(nèi)部功能不可改變,這樣系統(tǒng)的可重構(gòu)性差;DSP可以通過更改軟件來改變其功能,其重構(gòu)性好,但它的處理速度慢,逐漸跟不上越來越高的信號處理速度的要求。</p><p> 20世紀(jì)90年代以來,微電子技術(shù)以驚人的速度發(fā)展,其工藝水平達(dá)到深亞微米級,在一個(gè)芯片上可集成數(shù)百萬乃至上千萬只晶體管。這為制造出規(guī)模更大,速度更快和信息容量更大的芯片系統(tǒng)提供了條件,促進(jìn)了電
15、子設(shè)計(jì)自動化(EDA)技術(shù)的發(fā)展。FPGA的出現(xiàn)就是超大規(guī)模數(shù)字集成電路技術(shù)和計(jì)算機(jī)輔助設(shè)計(jì)技術(shù)發(fā)展的結(jié)果[7]。與傳統(tǒng)的設(shè)計(jì)方法相比,F(xiàn)PGA具有功能強(qiáng)大,開發(fā)過程投資小、周期短,可反復(fù)編程修改,保密性能好,開發(fā)工具智能化等特點(diǎn),正好充分發(fā)揮了軟件無線電可編程能力強(qiáng),易于升級的特點(diǎn),用FPGA取代或部分取代專用ASIC芯片可提高靈活性。FPGA允許電路設(shè)計(jì)者利用基于計(jì)算機(jī)的開發(fā)平臺,經(jīng)過設(shè)計(jì)輸入、仿真、測試和校驗(yàn),直到達(dá)到預(yù)期的結(jié)果。
16、采用FPGA器件可以將原來的電路板級產(chǎn)品集成為芯片級產(chǎn)品,同時(shí)還可以很方便地對設(shè)計(jì)進(jìn)行在線修改,它成為研制開發(fā)的理想器件之一。FPGA可以看作是介于ASIC和DSP之間的一種實(shí)現(xiàn)手段,它既具有ASIC的高速處理能力,又擁有很好的可重構(gòu)性能,而且開發(fā)成本低,開發(fā)周期短,優(yōu)勢十分明顯[12]。雖然FPGA的思路來源于門陣列,但它與門陣列PLD不同,其內(nèi)部由許多獨(dú)立的可編</p><p> 近年來,F(xiàn)PGA工藝發(fā)展很
17、快,F(xiàn)PGA的工作時(shí)鐘頻率也不斷增高,使芯片的處理能力增強(qiáng)。隨著大規(guī)模可編程邏輯器件的發(fā)展,系統(tǒng)設(shè)計(jì)進(jìn)入“片上可編程系統(tǒng)(SOPC)”的新紀(jì)元,越來越多的新型FPGA內(nèi)嵌CPU或者DSP內(nèi)核,支持軟硬件協(xié)同設(shè)計(jì);芯片朝著高密度、低壓、低功耗方向挺進(jìn);國際各大公司都在積極擴(kuò)充其IP庫,以優(yōu)化的資源更好地滿足用戶的需求,擴(kuò)大市場?;谶@樣的發(fā)展,F(xiàn)PGA己經(jīng)成為實(shí)現(xiàn)軟件無線電數(shù)字信號處理的一種非常有效的選擇。其內(nèi)部結(jié)構(gòu)可以實(shí)現(xiàn)高速的數(shù)據(jù)處理
18、過程,而它靈活的可重構(gòu)性能保證系統(tǒng)能夠?qū)崿F(xiàn)在線重構(gòu),使系統(tǒng)具有高度的靈活性,當(dāng)設(shè)備需要增加新的無線接口時(shí),不需要增加新的FPGA芯片,而只需將現(xiàn)有FPGA的內(nèi)部邏輯重構(gòu)就可以了,這樣就降低了設(shè)備的成本,縮短了開發(fā)周期,正是因?yàn)樗倪@些優(yōu)點(diǎn),F(xiàn)PGA在無線電技術(shù)的研究和設(shè)備開發(fā)中正在發(fā)揮越來越重要的作用。</p><p> 1. 3 課題研究的意義和主要工作</p><p> 數(shù)字字調(diào)
19、制解調(diào)技術(shù)在數(shù)字通信中占有非常重要的地位,數(shù)字通信技術(shù)與FPGA的結(jié)合是現(xiàn)代通信系統(tǒng)發(fā)展的一個(gè)必然趨勢。在數(shù)寧信號的頻帶傳輸中,最重要的技術(shù)就是調(diào)制解調(diào)技術(shù)。數(shù)字調(diào)制是數(shù)字符號轉(zhuǎn)換成與信道特性相匹配的波形的過程。數(shù)字信號對載波的調(diào)制與模擬信號對載波的調(diào)制類似。它同樣足用輸入的數(shù)字信號控制(鍵控)載波的幅度、頻率和相位,因而有三種基本調(diào)制技術(shù):幅移鍵控ASK(Ampl itude-Shift Keying)、頻移鍵控FSK(Frequen
20、cy-Shift Keying)、相移鍵控PSK(Phase-Shift Keying)。隨著技術(shù)的發(fā)展又演變出多種多樣的數(shù)字調(diào)制技術(shù)。相移鍵控是用數(shù)字基帶信號控制載波的相位,使載波的相位發(fā)生跳變的一種調(diào)制方式。由于PSK系統(tǒng)抗噪聲性能優(yōu)于ASK和FSK,而且頻帶利用率高,所以,在中、高速數(shù)字通信中被廣泛應(yīng)用。文中介紹了通信系統(tǒng)的組成、QPSK調(diào)制解調(diào)原理,并基于FPGA實(shí)現(xiàn)了QPSK調(diào)制電路。并給出了MAX+PLUSII環(huán)境下的仿真結(jié)
21、果與Systemview的仿真結(jié)果。仿真結(jié)果表明了該設(shè)計(jì)的正確性。</p><p> 本課題的主要研究工作包括以下四方面:</p><p> ?。?)了解數(shù)字調(diào)制解調(diào)的基本原理</p><p> (2)學(xué)習(xí)數(shù)字QPSK的特點(diǎn)、解調(diào)原理、數(shù)學(xué)模型;學(xué)習(xí)VHDL語言</p><p> ?。?)了解數(shù)字QPSK的發(fā)展?fàn)顩r及現(xiàn)實(shí)中應(yīng)用;了解FPG
22、A的內(nèi)部硬件結(jié)構(gòu)</p><p> (4)用Systemview對QPSK的調(diào)制解調(diào)進(jìn)行仿真</p><p> 2 通信系統(tǒng)的組成</p><p> 2.1 通信系統(tǒng)的模型</p><p> 通信的目的是傳輸消息。消息具有不同的形式,例如:符號、文字、話音、音樂、數(shù)據(jù)、圖片、活動圖像等等。因而,根據(jù)所傳遞消息的不同,目前通信業(yè)務(wù)可分
23、為電報(bào)、電話、傳真、數(shù)據(jù)傳輸即可視電話等。如果從廣義的角度看,則廣播、電視、雷達(dá)、導(dǎo)航、遙測、遙控等也可以列入通信范疇。</p><p> 當(dāng)然,數(shù)字信號也可以在模擬通信系統(tǒng)中傳輸,如計(jì)算機(jī)數(shù)據(jù)可以通過模擬電話線路傳輸,但這時(shí)必須使用調(diào)制解調(diào)器(Modem)將數(shù)字基帶信號進(jìn)行正弦調(diào)制,以適應(yīng)模擬信道的傳輸特性??梢姡M通信與數(shù)字通信的區(qū)別僅在于信道中傳輸?shù)男盘柗N類。</p><p>
24、 發(fā)送設(shè)備的基本功能是將信源和信道匹配起來,即將信源產(chǎn)生的消息信號變換成適合在信道中傳輸?shù)男盘?。變換方式是多種多樣的,在需要頻譜搬移的場合,調(diào)制是最常見的變換方式。對數(shù)字通信系統(tǒng)來說,發(fā)送設(shè)備常常又可分為信源編碼與信道編碼。信道是指傳輸信號的物理媒質(zhì)。在無線信道中,信道可以是大氣(自由空間),在有線信道中,信道可以是明線、電纜或光纖。有線和無線信道均有多種物理媒質(zhì)。媒質(zhì)的固有特性及引入的干擾與噪聲直接關(guān)系到通信的質(zhì)量。根據(jù)研究對象的不同
25、,需要對實(shí)際的物理媒質(zhì)建立不同的數(shù)學(xué)模型,以反映傳輸媒質(zhì)對信號的影響。</p><p> 噪聲源不是人為加入的設(shè)備,而是通信系統(tǒng)中各種設(shè)備以及信道中所固有的,并且是人們所不希望的。噪聲的來源是多樣的,它可分為內(nèi)部噪聲和外部噪聲,而且外部噪聲往往是從信道引入的。因此,為了分析方便,把噪聲源視為各處噪聲的集中表現(xiàn)而抽象加入到信道。</p><p> 接收設(shè)備的基本功能是完成發(fā)送設(shè)備的反變換
26、,即進(jìn)行解調(diào)、譯碼、解碼等。它的任務(wù)是從帶有干擾的接收信號中正確恢復(fù)出相應(yīng)的原始基帶信號來,對于多路復(fù)用信號,還包括解除多路復(fù)用,實(shí)現(xiàn)正確分路。</p><p> 信宿是傳輸信息的歸宿點(diǎn),其作用是將復(fù)原的原始信號轉(zhuǎn)換成相應(yīng)的消息[13]。</p><p> 圖2.1概括地描述了一個(gè)通信系統(tǒng)的組成,它反映了通信系統(tǒng)的共性,因此稱之為通信系統(tǒng)的一般模型。根據(jù)研究的對象以及所關(guān)注的問題不同,
27、圖2.1模型中的各小方框的內(nèi)容和作用將有所不同,因而相應(yīng)有不同形式的更具體的通信模型。</p><p> 圖2.1 通信系統(tǒng)的一般模型</p><p> 信息源(簡稱信源)的作用是把各種消息轉(zhuǎn)換成原始電信號。根據(jù)消息的種類不同,心愿可分為模擬信源和數(shù)字信源。模擬信源輸出連續(xù)的模擬信號,如話筒、攝像機(jī);數(shù)字信源則輸出離散的數(shù)字信號,如電傳機(jī)、計(jì)算機(jī)等各種數(shù)字終端。并且模擬信源送出的信號經(jīng)
28、數(shù)字化處理后也可送出數(shù)字信號。</p><p> 發(fā)送設(shè)備的作用是產(chǎn)生適合于在信道中傳輸?shù)男盘枺词拱l(fā)送信號的特性和信道特性相匹配,具有抗信道干擾的能力,并且具有足夠的功率以滿足遠(yuǎn)距離傳輸?shù)男枰?。因此,發(fā)送設(shè)備涵蓋的內(nèi)容很多,可能包含變換、放大、濾波、編碼、調(diào)制等過程。對于多路傳輸系統(tǒng),發(fā)送設(shè)備還包括多路復(fù)用器。</p><p> 信道是一種物理媒質(zhì),用來將來自發(fā)送設(shè)備的信號傳送到接收
29、端。在無線信道中,信道可以是自由空間:在有線信道中,可以是明線、電纜和光纖。有線信道和無線信道均有多種物理媒質(zhì)。信道既給信號以通路,也會對信號產(chǎn)生各種干擾和噪聲。信道的固有特性及引入的干擾和噪聲直接關(guān)系到通信的質(zhì)量。</p><p> 接收設(shè)備的功能是將信號放大和反變換(如譯碼、解調(diào)),其目的是從受到減損的接收信號中正確恢復(fù)出原始電信號。對于多路復(fù)用信號,接收設(shè)備中還包括解除多路復(fù)用,實(shí)現(xiàn)正確分路的功能。此外,
30、它還要盡可能減小在傳輸過程中噪聲與干擾所帶來的影響。</p><p> 受信者(簡稱信宿)是傳送消息的目的地,其功能與信源相反,即把原始電信號還原成相應(yīng)的信息,如揚(yáng)聲器等。</p><p> 圖2.1中,信源發(fā)出的消息雖然有多種形式,但可分為兩大類:一類稱為連續(xù)消息;另一類稱為離散消息。連續(xù)消息是指消息的狀態(tài)連續(xù)變化或是不可數(shù)的,如語音、活動圖片等。離散消息則是指消息的狀態(tài)是可數(shù)的或離
31、散的,如符號、數(shù)據(jù)等。</p><p> 消息的傳遞是通過它的物質(zhì)載體—電信號來實(shí)現(xiàn)的,即把消息寄托在電信號的某一參量上(如連續(xù)波的幅度、頻率或相位;脈沖波的幅度、寬度或位置)。按信號參量的取值方式不同可把信號分為兩類,即模擬信號和數(shù)字信號。</p><p> 凡信號參量的取值是連續(xù)的或取無窮多個(gè)值的,且直接與消息相對應(yīng)的信號,均稱為模擬信號,如電話機(jī)送出的語音信號、電視攝像機(jī)輸出的圖
32、像信號等。模擬信號有時(shí)也稱連續(xù)信號,這個(gè)連續(xù)是指信號的某一參量可以連續(xù)變化,或者說在某一取值范圍內(nèi)可以取無窮多個(gè)值,而不一定在時(shí)間上也連續(xù),如圖2.2(b)所示的抽樣信號。</p><p> 凡信號參量只能取有限個(gè)值,并且常常不直接與消息相對應(yīng)的信號,均稱為數(shù)字信號,如電報(bào)信號、計(jì)算機(jī)輸入/輸出信號、PCM信號等。數(shù)字信號有時(shí)也稱離散信號,這個(gè)離散是指信號的某一參量是離散變化的,而不一定在時(shí)間上也離散,如圖2.
33、3(b)所示的2PSK信號。</p><p> 圖2.2 模擬信號波形</p><p> 圖 2.3 數(shù)字信號波形</p><p> ?、?連續(xù)信號; ② 抽樣信號</p><p> ?、俣M(jìn)制波形; ②2PSK波形</p><p> 因此,按照信道中傳輸?shù)氖悄M信號還是數(shù)字信號, 可相應(yīng)地把通信系統(tǒng)分為模擬通
34、信系統(tǒng)和數(shù)字通信系統(tǒng)。</p><p> 模擬通信系統(tǒng)是利用模擬信號來傳遞信息的通信系統(tǒng)。 我們知道,信源發(fā)出的原始電信號是基帶信號,基帶的含義是指信號的頻譜從零頻附近開始,如語音信號為300-3400Hz, 圖像信號為0-6MHz。由于這種信號具有頻率很低的頻譜分量, 一般不宜直接傳輸,這就需要把基帶信號變換成其頻帶適合在信道中傳輸?shù)男盘?,并可在接收端進(jìn)行反變換。完成這種變換和反變換作用的通常是調(diào)制器和解調(diào)器
35、。經(jīng)過調(diào)制以后的信號稱為已調(diào)信號。已調(diào)信號有三個(gè)基本特征:</p><p> 一是攜帶有信息,二是適合在信道中傳輸,三是信號的頻譜具有帶通形式且中心頻率遠(yuǎn)離零頻,因而已調(diào)信號又稱頻帶信號。</p><p> 需要指出,消息從發(fā)送端到接收端的傳遞過程中,不僅僅只有連續(xù)消息與基帶信號和基帶信號與頻帶信號之間的兩種變換,實(shí)際通信系統(tǒng)中可能還有濾波、放大、天線輻射、控制等過程。由于調(diào)制與解調(diào)兩
36、種變換對信號的變化起決定性作用,而其他過程對信號不會發(fā)生質(zhì)的變化,只是對信號進(jìn)行了放大或改善了信號特性,因而被認(rèn)為是理想的而不予討論。</p><p> 模擬通信系統(tǒng)模型可由圖2.1略加演變而成。</p><p> 2.2 數(shù)字通信系統(tǒng)模型</p><p> 數(shù)字通信系統(tǒng)是利用數(shù)字信號來傳遞信息的通信系統(tǒng), 如圖2.4所示。數(shù)字通信涉及的技術(shù)問題很多,其中主要
37、有信源編碼/譯碼、信道編碼/譯碼、數(shù)字調(diào)制/解調(diào)、數(shù)字復(fù)接、同步以及加密等。</p><p> 信源編碼的作用之一是設(shè)法減少碼元數(shù)目和降低碼元速率,即通常所說的數(shù)據(jù)壓縮。碼元速率將直接影響傳輸所占的帶寬,而傳輸帶寬又直接反映了通信的有效性。作用之二是,當(dāng)信息源給出的是模擬語音信號時(shí),信源編碼器將其轉(zhuǎn)換成數(shù)字信號,以實(shí)現(xiàn)模擬信號的數(shù)字化傳輸。</p><p> 數(shù)字信號在信道傳輸時(shí),由于
38、噪聲、衰落以及人為干擾等,將會引起差錯(cuò)。為了減少差錯(cuò),信道編碼器對傳輸?shù)男畔⒋a元按一定的規(guī)則加入保護(hù)成分(監(jiān)督元),組成所謂“抗干擾編碼”。接收端的信道譯碼器按一定規(guī)則進(jìn)行解碼,從解碼過程中發(fā)現(xiàn)錯(cuò)誤或糾正錯(cuò)誤,從而提高通信系統(tǒng)抗干擾能力,實(shí)現(xiàn)可靠通信。 </p><p> 在需要實(shí)現(xiàn)保密通信的場合,為了保證所傳信息的安全,人為將被傳輸?shù)臄?shù)字序列擾亂,即加上密碼,這種處理過程叫加密。在接收端利用與發(fā)送端相同的密
39、碼復(fù)制品對收到的數(shù)字序列進(jìn)行解密,恢復(fù)原來信息,叫解密。 </p><p> 數(shù)字調(diào)制就是把數(shù)字基帶信號的頻譜搬移到高頻處,形成適合在信道中傳輸?shù)念l帶信號?;镜臄?shù)字調(diào)制方式有振幅鍵控ASK、頻移鍵控FSK、絕對相移鍵控PSK、相對(差分)相移鍵控DPSK。對這些信號可以采用相干解調(diào)或非相干解調(diào)還原為數(shù)字基帶信號。對高斯噪聲下的信號檢測,一般用相關(guān)器接收機(jī)或匹配濾波器實(shí)現(xiàn)。</p><p&g
40、t; 同步是保證數(shù)字通信系統(tǒng)有序、準(zhǔn)確、可靠工作的不可缺少的前提條件。同步是使收、發(fā)兩端的信號在時(shí)間上保持步調(diào)一致。按照同步的功用不同,可分為載波同步、位同步、群同步和網(wǎng)同步。</p><p> 數(shù)字復(fù)接就是依據(jù)時(shí)分復(fù)用基本原理把若干個(gè)低速數(shù)字信號合并成一個(gè)高速的數(shù)字信號,以擴(kuò)大傳輸容量和提高傳輸效率。</p><p> 需要說明的是,圖2.4是數(shù)字通信系統(tǒng)的一般化模型, 實(shí)際的數(shù)字
41、通信系統(tǒng)不一定包括圖2.4中的所有環(huán)節(jié)。 </p><p> 圖2.4 數(shù)字通信系統(tǒng)模型</p><p> 當(dāng)然,數(shù)字信號也可以在模擬通信系統(tǒng)中傳輸,如計(jì)算機(jī)數(shù)據(jù)可以通過模擬電話線路傳輸,但這時(shí)必須使用調(diào)制解調(diào)器(Modem)將數(shù)字基帶信號進(jìn)行正弦調(diào)制,以適應(yīng)模擬信道的傳輸特性。可見,模擬通信與數(shù)字通信的區(qū)別僅在于信道中傳輸?shù)男盘柗N類[14]。</p><p>
42、 2.3 數(shù)字通信的特點(diǎn)</p><p> 與模擬通信相比,數(shù)字通信具有以下一些優(yōu)點(diǎn):</p><p> (1)抗干擾能力強(qiáng),且噪聲不積累。數(shù)字通信系統(tǒng)中傳輸?shù)氖请x散取值的數(shù)字波形,接收端的目標(biāo)不是精確地還原被傳輸?shù)牟ㄐ危菑氖艿皆肼暩蓴_的信號中判決出發(fā)送端所發(fā)送的是哪一個(gè)波形。以二進(jìn)制為例,信號的取值只有兩個(gè),這時(shí)要求在接收端能正確判決發(fā)送的是兩個(gè)狀態(tài)中的哪一個(gè)即可。在遠(yuǎn)距離傳輸
43、時(shí),如微波中繼通信,各中繼站可利用數(shù)字通信特有的抽樣判決再生的接受方式,使數(shù)字信號再發(fā)生且噪聲不積累。而模擬通信系統(tǒng)中傳輸?shù)氖沁B續(xù)變化的模擬信號,它要求接收機(jī)能夠高度保真地重現(xiàn)原信號波形,一旦信號疊加上噪聲后,即使噪聲很小,也很難消除它。</p><p> ?。?)傳輸差錯(cuò)可控。在數(shù)字通信系統(tǒng)中,可通過信道編碼技術(shù)進(jìn)行檢錯(cuò)與糾錯(cuò),降低誤碼率,提高傳輸質(zhì)量。</p><p> ?。?)便于用
44、現(xiàn)代數(shù)字信號處理技術(shù)對數(shù)字信息進(jìn)行處理、變換、存儲。這種數(shù)字處理的靈活性表現(xiàn)為可以將來自不同信源的信號綜合到一起傳輸。</p><p> ?。?)易于集成,使通信設(shè)備微型化,重量輕。</p><p> (5)易于加密處理,且保密性好。</p><p> 數(shù)字通信的缺點(diǎn)是,一般需要較大的傳輸帶寬。另外,由于數(shù)字通信對同步要求高,因而系統(tǒng)設(shè)備復(fù)雜[15]。</
45、p><p> 2.4 數(shù)字基帶信號</p><p> 信源發(fā)出的沒有經(jīng)過調(diào)制的原始電信號所固有的頻帶,稱為基本頻帶,簡稱基帶。</p><p> 現(xiàn)代通信借助于電和光來傳輸信息,數(shù)字終端產(chǎn)生的數(shù)字信息是以“1”和“0”兩種代碼(狀態(tài))位代表的隨機(jī)序列,他可以用不同形式的電信號表示,從而構(gòu)造不同形式的數(shù)字信號。在一般的數(shù)字通信系統(tǒng)中首先將消息變?yōu)閿?shù)字基帶信號,稱為信
46、源編碼,經(jīng)過調(diào)制后進(jìn)行傳輸,在接收端先進(jìn)行解調(diào)恢復(fù)為基帶信號,再進(jìn)行解碼轉(zhuǎn)換為消息。</p><p> 在實(shí)際的基帶傳輸系統(tǒng)中,并不是所有電波均能在信道中傳輸,因此有基帶信號的選擇問題,因此對碼型的設(shè)計(jì)和選擇需要符合一定的原則。當(dāng)數(shù)字信號進(jìn)行長距離傳輸時(shí),高頻分量的衰減隨距離的增大而增大,電纜中線對之間的電磁輻射也隨著頻率的增高而加劇,從而限制信號的傳輸距離和傳輸質(zhì)量,同時(shí)信道中往往還存在隔直流電容和耦合變壓器
47、,他們不能傳輸直流分量及對低頻分量有較大的衰減,因此對于一般信道高頻和低頻部分均是受限的。</p><p> 對于這樣的信道,應(yīng)使線路傳輸碼型的頻譜不含直流分量,并且只有很少的低頻分量和高頻分量。其次,傳輸碼型中應(yīng)含有定時(shí)時(shí)鐘信息,以利于收端定時(shí)時(shí)鐘的提取,在基帶傳輸系統(tǒng)中,定時(shí)信息是在接收端再生原始信息所必需的。</p><p> 一般傳輸系統(tǒng)中,為了節(jié)省頻帶是不傳輸定時(shí)信息的,必須
48、在接受端從相應(yīng)的基帶信號中加以提取。再次,實(shí)際傳輸系統(tǒng)常希望在不中斷通信的前提下,能監(jiān)視誤碼,如果傳輸碼型有一定的規(guī)律性,那么就可以根據(jù)這一規(guī)律性來檢測傳輸質(zhì)量,以便做到自動監(jiān)測,因此,傳輸碼型應(yīng)具有一定的誤碼檢測能力。當(dāng)然,對傳輸碼型的選擇還需要編碼和解碼設(shè)備盡量簡單等要求,但以上的幾點(diǎn)是最主要的考慮因素。在基帶傳輸系統(tǒng)中,一系列的基帶信號波形被變換成相應(yīng)的發(fā)送基帶波形后,就被送入信道。</p><p> 信
49、號通過信道傳輸,一方面受到信道特性的影響,使信號產(chǎn)生畸變;令一方面信號被信道中的加性噪聲所疊加,造成信號的隨機(jī)畸變。因此,到達(dá)接收端的基帶脈沖信號發(fā)生了畸變。為此,在接收端首先安排一個(gè)接收濾波器,使噪聲盡量得到抑制,而使信號順利通過。然而,在接收濾波器的輸出信號里,總還是存在畸變信號和混有噪聲的。因此,為提高接收系統(tǒng)的可靠性,通常在接收濾波器的輸出端安排一個(gè)識別電路,通常的識別電路是抽樣判別器,它是在每一接收基帶波形的中心附近,對信號進(jìn)
50、行抽樣,然后將抽樣值與判決門限進(jìn)行比較。若抽樣值大于門限,則判為“高”電平。這樣就獲得一系列新的基帶波形—再生的基帶信號。</p><p> 所謂數(shù)字基帶信號,就是消息代碼的電脈沖表示―電波形。在實(shí)際基帶傳輸系統(tǒng)中,并非所有的原始數(shù)字基帶信號都能在信道中傳輸,例如,含有豐富直流和低頻成分的基帶信號就不適宜在信道中傳輸,因?yàn)樗锌赡茉斐尚盘枃?yán)重畸變;再如,一般基帶傳輸系統(tǒng)都是從接收到的基帶信號中提取位同步信號,而
51、位同步信號卻又依賴于代碼的碼型,如果代碼出現(xiàn)長時(shí)間的連 “0” 符號,則基帶信號可能會長時(shí)間出現(xiàn) 0 電位,從而使位同步恢復(fù)系統(tǒng)難以保證位同步信號的準(zhǔn)確性。實(shí)際的基帶傳輸系統(tǒng)還可能提出其它要求,從而導(dǎo)致對基帶信號也存在各種可能的要求。歸納起來,對傳輸用的基帶信號的要求主要有兩點(diǎn):</p><p> (1)對各種代碼的要求,期望將原始信息符號編制成適合于傳輸用的碼型;</p><p>
52、(2)對所選的碼型的電波形的要求,期望電波形適宜于在信道中傳輸。前一問題稱為傳輸碼型的選擇,后一問題稱為基帶脈沖的選擇。這是兩個(gè)既彼此獨(dú)立又相互聯(lián)系的問題,也是基帶傳輸原理中十分重要的兩個(gè)問題。</p><p> 傳輸碼(常稱為線路碼)的結(jié)構(gòu)將取決于實(shí)際信道的特性和系統(tǒng)工作的條件。概括起來,在設(shè)計(jì)數(shù)字基帶信號碼型時(shí)應(yīng)考慮以下原則:</p><p> (1)碼型中應(yīng)不含直流分量,低頻分量
53、盡量少。</p><p> (2)碼型中高頻分量盡量少。這樣既可以節(jié)省傳輸頻帶,提高信道的頻帶利用率,還可以減少串?dāng)_。串?dāng)_是指同一電纜內(nèi)不同線對之間的相互干擾,基帶信號的高頻分量越大,則對鄰近線對產(chǎn)生的干擾就越嚴(yán)重。</p><p> (3)碼型中應(yīng)包含定時(shí)信息。</p><p> (4)碼型具有一定檢錯(cuò)能力。若傳輸碼型有一定的規(guī)律性,則就可根據(jù)這一規(guī)律性來檢
54、測傳輸質(zhì)量,以便做到自動監(jiān)測。</p><p> (5)編碼方案對發(fā)送消息類型不應(yīng)有任何限制,即能適用于信源變化。這種與信源的統(tǒng)計(jì)特性無關(guān)的性質(zhì)稱為對信源具有透明性。</p><p> (6)低誤碼增殖。對于某些基帶傳輸碼型,信道中產(chǎn)生的單個(gè)誤碼會擾亂一段譯碼過程,從而導(dǎo)致譯碼輸出信息中出現(xiàn)多個(gè)錯(cuò)誤,這種現(xiàn)象稱為誤碼增殖。</p><p> (7)高的編碼效率
55、。 </p><p> (8)編譯碼設(shè)備應(yīng)盡量簡單。</p><p> 上述各項(xiàng)原則并不是任何基帶傳輸碼型均能完全滿足,往往是依照實(shí)際要求滿足其中若干項(xiàng)[16]。</p><p> 3 QPSK調(diào)制解調(diào)的原理</p><p> 3.1 相移鍵控系統(tǒng)概述</p><p> 相移鍵控是目前
56、擴(kuò)頻系統(tǒng)中大量使用的調(diào)制方式,也是和擴(kuò)頻技術(shù)結(jié)合最成熟的調(diào)制技術(shù),原則上看是一種線性調(diào)制。從基帶變換到中頻以及射頻,中間的頻譜搬移和信號放大需要一個(gè)要求較高的線性信道,因而,設(shè)計(jì)要求較高。</p><p> 相移鍵控系統(tǒng)中,有待傳輸?shù)幕鶐?shù)字脈沖控制著載波相位的變化,從而形成振幅與頻率不變,而相位取離散值變化的已調(diào)波。</p><p> 3.1.1 二進(jìn)制相移鍵控</p>
57、<p> 對于二進(jìn)制相移鍵控BPSK(Binary Phase Shift Keying)來說,就是二進(jìn)制的數(shù)字信號0和1分別用載波的0和π來表示。其表達(dá)式由公式(2.1)給出:</p><p><b> (2.1)</b></p><p> 式中,An為二進(jìn)制數(shù)字,</p><p><b> (2.2)<
58、/b></p><p> 3.1.2 四相相移鍵控</p><p> 四相相移鍵控QPSK是MPSK[17]的一種特殊情況。它是利用載波四個(gè)不同的相位來表征數(shù)字信息的調(diào)制方式。因此,對于輸入的二進(jìn)制數(shù)字序列應(yīng)該先進(jìn)行分組,將每兩個(gè)比特編為一組;然后用4種不同的載波相位去表征它們。例如,若輸入二進(jìn)制數(shù)字信息序列為lO1lOlOO,則可將它們分成10,1l,01,00,然后用4種不同
59、的相位來分別表示它們。由于每一種載波相位代表2個(gè)比特信息。故每個(gè)四進(jìn)制碼元又被稱為雙比特碼元。</p><p> 由于四相絕對移相調(diào)制可以看作兩個(gè)正交的二相絕對移相調(diào)制的合成,故QPSK信號的產(chǎn)生方法采用相位選擇法,如圖3.1所示[18]。</p><p> 圖3.1 相位選擇法的組成方框圖</p><p> 由圖3.1可知,四相載波發(fā)生器分別送出調(diào)相所需的4
60、種不同相位的載波,即數(shù)字載波信號。按照串/并變換器輸出雙比特碼元的不同,邏輯選相電路輸出相應(yīng)相位的載波。雙比特碼元ab為00時(shí),輸出相位為0°的載波;ab為01時(shí),輸出相位為90°的載波;ab為10時(shí),輸出相位為180°的載波;ab為11時(shí),輸出相位為270°的載波。根據(jù)MPSK調(diào)制原理,設(shè)計(jì)模型如圖3.2所示[19]。電路主要由分頻器和四選-開關(guān)等組成,分頻器對外部時(shí)鐘信號進(jìn)行分頻和計(jì)數(shù),并輸出
61、4路頻率相同而相位不同的相干數(shù)字載波信號;四選一開關(guān)是在基帶信號的控制下,對4路載波信號進(jìn)行選通,輸出數(shù)字QPSK信號。但這還不是真正的QPSK信號,需要在FPGA器件外部加一個(gè)D/A變換器,將輸出轉(zhuǎn)換為模擬信號。</p><p> 圖3.2 MPSK調(diào)制方框圖</p><p> 如圖3.2所示,輸入時(shí)鐘信號clk及使能信號start,當(dāng)start為高電平時(shí)才進(jìn)行QPSK調(diào)制,輸入基
62、帶信號X為0 1 0 1 0 1 1 0 0 0 1 1 0 1 0 0 0 0 0 0 0 1 0進(jìn)行串/并變換?;鶐盘杧由一路信號變?yōu)閮陕凡⑿行盘枺儞Q后分別為a信號和b信號,則ab信號構(gòu)成兩位并行信號yy,變換后的yy值如表1.1所示。</p><p> 表1.1 串/并變換</p><p> 時(shí)鐘信號進(jìn)入八分頻計(jì)數(shù)器q進(jìn)行分頻得到4種不同相位的載波。載波相位為0°、
63、90°、180°、270°的4種載波,載波波形如表1.2所示。</p><p> 表1.2 調(diào)制信號說明</p><p> 四選一開關(guān)根據(jù)信號yy值,選擇載波對應(yīng)相位進(jìn)行輸出,可得到已調(diào)信號Y。如表3-2所示,當(dāng)yy值為“0”,選擇輸出對應(yīng)的載波f3;當(dāng)yy值為“1”,選擇輸出對應(yīng)的載波f2;當(dāng)yy值為“2”,選擇輸出3對應(yīng)的載波fl;當(dāng)yy值為“3”,選
64、擇輸出對應(yīng)的載波f0,即最終選擇輸出的載波波形就構(gòu)成r調(diào)制信號Y。當(dāng)start為高電平時(shí),進(jìn)行調(diào)制,仿真結(jié)果如圖3所示,選擇相位分別為0°,180°,180°,270°,0°,90°。 </p><p> QPSK信號可以表示為</p><p><b> (2.3)</b></p><
65、p> 式中,是載波的角頻率,是第k個(gè)碼元的載波相位取值,Ts是一個(gè)發(fā)送碼元的持續(xù)時(shí)間,它將取可能的四種相位之一,g(t)是發(fā)送碼元的波形函數(shù)。是可以取區(qū)間(0,2π)任何離散值的隨機(jī)變量,可取的個(gè)數(shù)由調(diào)制方式的進(jìn)制來決定。在QPSK調(diào)制系統(tǒng)中,發(fā)送端可取的相位值為四個(gè)。</p><p><b> 將上式展開,得到:</b></p><p><b>
66、 (2.4)</b></p><p> 令,,則兩者的取值為隨機(jī)的離散值,和選定的相位有關(guān),在星座圖的映射中對應(yīng)同相和正交分量,反映其在映射圖中的矢量位置。</p><p> 對于四種相位的選擇,存在π/2體系和π/4體系。π/2體系對應(yīng)n=0,π/2,π,3π/2四個(gè)離散值。π/4體系對應(yīng)n=π/4,3π/4,5π/4,7π/4四個(gè)離散值。</p><
67、;p> 從式(2.4)可以看出,四相調(diào)制的波形,可以看成是對兩個(gè)正交載波進(jìn)行二進(jìn)</p><p> 制幅度調(diào)制的信號之和。從Xn和Yn的取值,容易發(fā)現(xiàn)兩者具有一定的矢量約束關(guān)</p><p> 系,保證兩者合成的矢量點(diǎn)在落在同一圓周上。這個(gè)關(guān)系意味著,系統(tǒng)的非線形</p><p> 失真對QPSK系統(tǒng)的可靠性影響很小。</p><p
68、> 3.2 QPSK調(diào)制原理</p><p> QPSK信號有00、01、10、11四種狀態(tài)。所以.對輸人的二進(jìn)制序列,首先必須分組,每兩位碼元一組。然后根據(jù)組合情況,用載波的四種相位表征它們。QPSK信號實(shí)際上是兩路正交雙邊帶信號,可由圖3.1所示方法產(chǎn)生。</p><p> QPSK調(diào)制器可以看成由兩個(gè)BPSK調(diào)制器構(gòu)成。輸入的串行二進(jìn)制序列經(jīng)過串并轉(zhuǎn)換后,分成兩路速率減
69、半的序列,然后經(jīng)過極性轉(zhuǎn)換后變成兩路雙極性二電平信號I (t)和Q (t),然后跟cos2πf t和sin 2πf t相乘進(jìn)行調(diào)制,相加后即得到QPSK信號。如圖3.1所示:</p><p> 圖3.3 QPSK調(diào)制過程</p><p> 由圖3.1,可以看出,QPSK是由兩路BPSK信一號構(gòu)成,且兩路信號相互正交的,即相位差相差90°,兩路BPSK信號相加,即得到QPSK
70、信號。圖3.3是比較常用的QPSK調(diào)制方式。</p><p> 3.3 QPSK解調(diào)原理</p><p> 在QPSK解調(diào)中,常采用相干解調(diào)[18] [12] [21] [22],其原理框圖見下圖所示:</p><p> 圖3.4 QPSK解調(diào)過程</p><p> 相干解調(diào)中,正交路和同相路分別設(shè)置兩個(gè)相關(guān)器(或匹配濾波器),得
71、到I(t)</p><p> 和Q(t),經(jīng)電平判決和并一串變換后即可恢復(fù)原始信息。當(dāng)然,如果調(diào)制端是差分編碼的,那么解調(diào)中并串變換后還需一個(gè)差分解碼。</p><p> 假如已調(diào)信號為,、分別為同相路和正交路,為載波頻率。那么相干解調(diào)后,同相路相乘可得:</p><p><b> =</b></p><p>
72、= (2.5)</p><p><b> 正交路為:</b></p><p><b> =</b></p><p> = (2.6)</p><p> 經(jīng)過低通濾波后,可得:</p><p><b> (2.7)</b>
73、</p><p> 經(jīng)過判決電路后,由上式,可得到如下表1.3所示結(jié)果(同相路和正交路是經(jīng)過極性轉(zhuǎn)換的,1對應(yīng)于二進(jìn)制數(shù)據(jù)1,-1對應(yīng)于二進(jìn)制數(shù)據(jù)0)</p><p> 表1.3 I、Q路的判決</p><p> 解調(diào)過程中涉及到信號的采樣、數(shù)字下變頻、載波同步、位同步等關(guān)鍵技術(shù)。</p><p> 信號的采樣是模擬信號與數(shù)字信號之間
74、的一個(gè)通道,是數(shù)字化解調(diào)過程中一個(gè)及其關(guān)鍵的步驟。</p><p> 數(shù)字下變頻DDC(Digital Down Converter)是隨著數(shù)字信號處理技術(shù)的發(fā)展而</p><p> 出現(xiàn)的,目前大量使用在數(shù)字中頻技術(shù)中,它的根本任務(wù)就是實(shí)現(xiàn)數(shù)字中頻到基帶信號的變換。數(shù)字下變頻的組成與模擬下變頻器類似,包括數(shù)字混頻器、數(shù)字控制振蕩器(NCO)和低通濾波器(LPF)三部分組成。影響數(shù)字下
75、變頻器性能的主要因素有兩個(gè):一是表示數(shù)字本振、輸入信號以及混頻乘法運(yùn)算的樣本數(shù)值的有限字長所引起的誤差;二是數(shù)字本振相位分辨率不夠而引起數(shù)字本振樣本數(shù)值的近似取值。也就是說,數(shù)字混頻器和數(shù)字本振的數(shù)據(jù)位數(shù)不夠?qū)挘嬖谖矓?shù)截?cái)嗟那闆r;數(shù)字本振相位的樣本值存在近似的情況。它主要涉及數(shù)控振蕩器NCO,抽取濾波器(即積分-清洗濾波器)等技術(shù)。</p><p> 在數(shù)字通信系統(tǒng)中,解調(diào)器的任務(wù)是恢復(fù)出傳輸來的原始數(shù)據(jù)系列
76、。解調(diào)器</p><p> 的構(gòu)成方案通常可以分為兩類:同步解調(diào)和異步解調(diào)。兩者的區(qū)別在于,同步解</p><p> 調(diào)需要一個(gè)相干同步的本地載波。一般地說,同步解調(diào)性能較為優(yōu)良。但是,對</p><p> 于抑制載波分量的調(diào)制信號來說,要從接收的信號中恢復(fù)出參考載波,必須進(jìn)行</p><p><b> 相應(yīng)的處理。<
77、/b></p><p> 在數(shù)字通信中,除了載波同步外,還需要位同步。因?yàn)橄⑹且淮B續(xù)的碼</p><p> 元系列,解調(diào)時(shí)必須知道碼元的起止時(shí)刻,即碼同步。位同步可分為自同步和外</p><p> 同步兩種。自同步是直接從接收的信號中提取位同步信息,而外同步是在發(fā)射端</p><p> 專門發(fā)射導(dǎo)頻信號。例如,在基帶信號頻譜
78、的零點(diǎn),插入所需的導(dǎo)頻信號,在接</p><p> 收端,利用窄帶濾波器,就可以從解調(diào)后的基帶信號中提取所需的同步信息。插</p><p> 入導(dǎo)頻也可以使數(shù)字信號的包絡(luò),隨同步信號的某種波形而變化。在相移或頻移</p><p> 鍵控時(shí),在接收端只要進(jìn)行包絡(luò)檢波就可得到同步信號。</p><p> 3.4 QPSK的星座圖</
79、p><p> QPSK信號常常可以用星座圖來表示,或者也可稱之為矢量圖。它表明了各符號〔用雙比特表示,即11,00,01,10)間的幅度和相位關(guān)系,四個(gè)雙比特符分別表示QPSK信號的四個(gè)相位,相鄰兩個(gè)相位之間是相互正交的,對于的情況,星座圖如圖3.5(a)所示:</p><p> (a) (b)</p><p&g
80、t; 圖3.5 QPSK星座映射圖</p><p> 上圖星座圖中,11,01,00,10分別對應(yīng)于己調(diào)信號相位初始載波相位通常設(shè)為0。在它們的相位偏移關(guān)系中,我們稱,01對11,00對01等,相位偏移90度;或者00對11偏180度事實(shí)上,在很多有關(guān)QPSK芯片對相位偏移的陳述中,都是以符號00作為基準(zhǔn)相位來加以描述的,如表1.4所示:</p><p> 表1.4 00作為基準(zhǔn)的相
81、位偏移</p><p> 上面的相位偏移,實(shí)際上都是對符號00而言的。而且我們可以看出,這樣的</p><p> 相位偏移,其實(shí)就是圖3.5(a)所介紹的星座圖。</p><p> 相位偏移關(guān)系非常的重要,這對于我們實(shí)現(xiàn)自己的QPSK關(guān)系非常大,否則往往可能結(jié)果相反。比如前面那個(gè)例子,假如01對00偏90°,10對00偏-90°,那么它們所
82、對應(yīng)的星座圖,將會變成圖3.5(b)的樣子。</p><p> 3.5 其它QPSK簡介</p><p> 上面說的QPSK調(diào)制方式,當(dāng)基帶信號經(jīng)過脈沖成形時(shí)(如升余弦滾降信號),QPSK信號將失去恒包絡(luò)的性質(zhì),當(dāng)發(fā)生幅度為π的相移時(shí)〔每個(gè)符號間的兩位都改變),將會導(dǎo)致信號包絡(luò)瞬間過零點(diǎn)。任何一種在過零點(diǎn)的硬限幅或非線性放大,</p><p> 都將由于信號在
83、低電壓時(shí)的失真而在傳輸過程中帶來已被濾除的旁瓣,這顯然是并不希望的。為了防止旁瓣再生和頻譜擴(kuò)展,必須使用效率較低的線性放大器放大QPSK信號。因而,產(chǎn)生了一種改進(jìn)型的QPSK信號,OQPSK,即交錯(cuò)或參差QPSK。它的原理是讓兩路信號工I (t)和Q (t)錯(cuò)開,讓在任意時(shí)刻只有兩個(gè)比特中的一個(gè)改變它的值,這樣符號間的相移都只限制在±90°,消除了180°相位跳變帶來的負(fù)面影響。因?yàn)?80°相位跳
84、變消除了,所以O(shè)QPSK信號的帶限不會導(dǎo)致信號包絡(luò)經(jīng)過零點(diǎn)。帶限處理會造成一定程度的ISI[22] [23],特別是在90°相位點(diǎn)。但是,包絡(luò)的變化小多了,因此對OQPSK的硬限幅或非線性放大不會再生出像在QPSK中那么多的高頻旁瓣。</p><p> 還有一種QPSK調(diào)制方式,被稱作π/4QPSK,它是OQPSK和QPSK的折衷,它的符號間的最大相位跳變是±135°,因此,π/4
85、QPSK比QPSK有更好的恒包絡(luò)性質(zhì),但是對包絡(luò)的變化比OQPSK更敏感。但是,π/4QPSK的最吸引人的地方是它能夠非相干解調(diào),可使接收端設(shè)計(jì)簡化。</p><p> 4 基于FPGA的QPSK調(diào)制解調(diào)電路設(shè)計(jì)與實(shí)現(xiàn)</p><p> 4.1 基于FPGA的QPSK調(diào)制電路方框圖</p><p> 基帶信號通過串/并轉(zhuǎn)換器得到2位并行信號,四選一開關(guān)根據(jù)該
86、數(shù)據(jù),選擇載波對應(yīng)的相位進(jìn)行輸出,即得到調(diào)制信號,調(diào)制框圖如圖4.1所示。[26] [27]</p><p> 圖4.1 QPSK的調(diào)制電路方框圖</p><p> 4.2 調(diào)制電路VHDL程序</p><p> library ieee;</p><p> use ieee.std_logic_arith.all;</p&g
87、t;<p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> entity modulation is</p><p> port(clk :in std_logic; --系統(tǒng)時(shí)鐘</p><p> st
88、art :in std_logic; --開始調(diào)制信號</p><p> x :in std_logic; --基帶信號</p><p> y :out std_logic); --調(diào)制信號</p><p> end modulation;</p><p> architecture behav of
89、 modulation is</p><p> signal q:integer range 0 to 7;--計(jì)數(shù)器</p><p> signal xx:std_logic_vector(1 downto 0);--中間寄存器</p><p> signal yy:std_logic_vector(1 downto 0);--2位并行碼寄存器</p&
90、gt;<p> signal f:std_logic_vector(3 downto 0); --載波f</p><p><b> begin</b></p><p> process(clk) --通過對clk分頻,得到4種相位;并完成基帶信號的串并轉(zhuǎn)換</p><p><b> begin</b>
91、</p><p> if (clk'event and clk='1') then</p><p> if start='0' then q<=0;</p><p> elsif q=0 then q<=1;f(3)<='1';f(1)<='0';xx(1)<
92、=x;yy<=xx;</p><p> elsif q=2 then q<=3;f(2)<='0';f(0)<='1';</p><p> elsif q=4 then q<=5;f(3)<='0';f(1)<='1';xx(0)<=x;</p><p&g
93、t; elsif q=6 then q<=7;f(2)<='1';f(0)<='0';</p><p> else q<=q+1;</p><p><b> end if;</b></p><p><b> end if;</b></p><
94、;p> end process;</p><p> y<=f(0) when yy="11" else</p><p> f(1) when yy="10" else</p><p> f(2) when yy="01" else</p><p> f(3);
95、--根據(jù)yy寄存器數(shù)據(jù),輸出對應(yīng)載波</p><p> end behav;</p><p> MAX+PLUSII環(huán)境下的仿真結(jié)果如圖4.2所示。</p><p> 圖4.2調(diào)制程序仿真結(jié)果</p><p> 4.3 QPSK解調(diào)電路的FPGA 實(shí)現(xiàn)方框圖</p><p> 圖4.3 QPSK解調(diào)電路方框圖
96、</p><p> 當(dāng)調(diào)制為低電平時(shí),譯碼器1根據(jù)記數(shù)器輸出值。送人加法器相應(yīng)的數(shù)據(jù)。加法器把運(yùn)算結(jié)果送到寄存器。譯碼器2根據(jù)寄存器數(shù)據(jù)通過譯碼,輸出兩位并行信號,該信號再通過并/串轉(zhuǎn)換即可得到解調(diào)后的基帶信號,其調(diào)制框圖如圖4.3所示。</p><p> 4.4 解調(diào)電路VHDL程序及仿真結(jié)果</p><p> library ieee;</p>
97、;<p> use ieee.std_logic_arith.all;</p><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> entity demodulation is</p><p> por
98、t(clk :in std_logic; --系統(tǒng)時(shí)鐘</p><p> start :in std_logic; --同步信號</p><p> x :in std_logic; --調(diào)制信號</p><p> y :out std_logic); --基帶信號</p><p> end demo
99、dulation;</p><p> architecture behav of demodulation is</p><p> signal q:integer range 0 to 7; --計(jì)數(shù)器</p><p> signal xx:std_logic_vector(2 downto 0);--加法器</p><p> si
100、gnal yy:std_logic_vector(1 downto 0);--2位并行基帶信號寄存器</p><p> signal yy:std_logic_vector(2 downto 0); --寄存xx數(shù)據(jù)</p><p><b> begin</b></p><p> process(clk)</p><p
101、><b> begin</b></p><p> if (clk'event and clk='1') then</p><p> if start='0' then q<=0;</p><p> elsif q=0 then q<=1;yy<=xx;y<=yyy(0
102、); --把加法計(jì)數(shù)器的數(shù)據(jù)送入yy寄存器</p><p> if x='0' then xx<="001"; --調(diào)制信號x為低電平時(shí),送入加法器的數(shù)據(jù)“001”</p><p> else xx<="000";</p><p><b> end if;</b><
103、/p><p> elsif q=2 then q<=3;</p><p> if x='0' then xx<=xx+"001";--調(diào)制信號x為低電平時(shí),送入加法器的數(shù)據(jù)“001”</p><p><b> end if;</b></p><p> elsif q=4
104、 then q<=5;y<=yyy(1);</p><p> if x='0' then xx<=xx+"010"; --調(diào)制信號x為低電平時(shí),送入加法器的數(shù)據(jù)“010”</p><p><b> end if;</b></p><p> elsif q=6 then q<=7;
105、</p><p> if x='0' then xx<=xx+"011";--調(diào)制信號x為低電平時(shí),送入加法器的數(shù)據(jù)“0011”</p><p><b> end if;</b></p><p> else q<=q+1;</p><p><b> end
106、 if;</b></p><p><b> end if;</b></p><p> end process;</p><p> process(yy) --此進(jìn)程根據(jù)yy寄存器里的數(shù)據(jù)進(jìn)行譯碼</p><p><b> begin</b></p><p>
107、; if ( clk' event and clk ='1') then</p><p> if yy="101" then yyy<="00"; --yy寄存器“101”對應(yīng)基帶碼“00”</p><p> elsif yy="011" then yyy<="01";
108、 --yy寄存器“011”對應(yīng)基帶碼“01”</p><p> elsif yy="010" then yyy<="10"; --yy寄存器“010”對應(yīng)基帶碼“10”</p><p> elsif yy="100" then yyy<="11"; --yy寄存器“100”對應(yīng)基帶碼“11”&l
109、t;/p><p> else yyy<="00";</p><p><b> end if;</b></p><p><b> end if;</b></p><p> end process;</p><p> end behav; <
110、/p><p> MAX+PLUSII環(huán)境下的仿真結(jié)果如圖4.4所示。</p><p> 圖4.4 解調(diào)程序仿真結(jié)果</p><p> 4.5 基于FPGA的QPSK調(diào)制解調(diào)的設(shè)計(jì)仿真</p><p> 由圖4.3和圖4.4可知在QPSK解調(diào)中,對于頻率與相位的要求比較高,在解調(diào)中由于手動的輸入基帶信號,造成頻率和相位的偏差,而頻率與相位
111、的偏差會引起誤碼率的增加。為了更好的觀察輸入輸出波形,在quartusII中創(chuàng)建調(diào)制功能模塊和解調(diào)功能模塊,再新建一個(gè)波形文件,插入生成的調(diào)制模塊和解調(diào)模塊,創(chuàng)建一個(gè)系統(tǒng)模塊后進(jìn)行功能仿真,如圖4.5和圖4.6所示。</p><p> 圖4.5 調(diào)制解調(diào)功能模塊圖</p><p> 圖4.6 調(diào)制解調(diào)輸出波形</p><p> 由圖4.6可知系統(tǒng)輸出有一定的延
112、遲,與輸入信號相比還有一點(diǎn)偏差,但誤碼率明顯減少,還是取得出了預(yù)期的效果。</p><p> 多進(jìn)制數(shù)字調(diào)制技術(shù)與FPGA的結(jié)合使得通信系統(tǒng)的性能得到了迅速的提高。文中基于FPGA方式實(shí)現(xiàn)了QPSK數(shù)字調(diào)制解調(diào)電路的設(shè)計(jì),它比傳統(tǒng)的模擬調(diào)制方式有著顯著的優(yōu)越性,通信鏈路中的任何不足均可以借助于軟件根除,不僅可以實(shí)現(xiàn)信息加密,而且還可以通過相應(yīng)的誤差校準(zhǔn)技術(shù),使接收到數(shù)據(jù)準(zhǔn)確性更高。為了設(shè)計(jì)更簡單采用了相位選擇法
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 畢業(yè)設(shè)計(jì)---qpsk調(diào)制與解調(diào)的軟件實(shí)現(xiàn)
- 基于fpga的qpsk調(diào)制解調(diào)電路設(shè)計(jì)與實(shí)現(xiàn)
- 畢業(yè)設(shè)計(jì)--利用matlab實(shí)現(xiàn)qpsk調(diào)制及解調(diào)
- 基于FPGA的QPSK調(diào)制解調(diào)器的設(shè)計(jì).pdf
- 畢業(yè)設(shè)計(jì)(論文)-qpsk調(diào)制與解調(diào)電路的設(shè)計(jì)
- QPSK調(diào)制解調(diào)器的設(shè)計(jì)及FPGA實(shí)現(xiàn).pdf
- 基于matlab的psk調(diào)制和解調(diào)及仿真畢業(yè)設(shè)計(jì)
- bpsk調(diào)制解調(diào)系統(tǒng)的仿真設(shè)計(jì)畢業(yè)設(shè)計(jì)
- bpsk調(diào)制解調(diào)系統(tǒng)的仿真設(shè)計(jì)畢業(yè)設(shè)計(jì)
- 基于fpga的fsk調(diào)制解調(diào)器設(shè)計(jì)畢業(yè)設(shè)計(jì)
- 基于FPGA的π-4QPSK調(diào)制解調(diào)器的研究與設(shè)計(jì).pdf
- 基于fpga和matlab的qpsk調(diào)制解調(diào)碩士學(xué)位論文
- QPSK調(diào)制解調(diào)及相關(guān)幀結(jié)構(gòu)的實(shí)現(xiàn).pdf
- 基于FPGA的DVB-S QPSK調(diào)制器的設(shè)計(jì)與仿真.pdf
- 基于軟件無線電qpsk調(diào)制解調(diào)實(shí)現(xiàn)的研究-畢業(yè)論文
- 在matlab上的的qpsk調(diào)制與解調(diào)仿真
- 畢業(yè)設(shè)計(jì) ---基于matlab仿真的數(shù)字調(diào)制與解調(diào)設(shè)計(jì)
- 基于FPGA的DPSK調(diào)制解調(diào)板卡設(shè)計(jì).pdf
- 基于FPGA的LTE調(diào)制信號的解調(diào)設(shè)計(jì)及實(shí)現(xiàn).pdf
- 畢業(yè)設(shè)計(jì)----基于matlab的qpsk系統(tǒng)仿真設(shè)計(jì)與實(shí)現(xiàn)
評論
0/150
提交評論